Page 1 of 1

Developing bladerf-hosted.vhd via modelsim

Posted: Wed Jun 01, 2022 9:02 am
by fchache
Hi,

I'm trying to add custom signal processing code to bladerf-hosted.vhd and I'd like to be able to test the code in ModelSim beforehand. I tried the method based on an earlier post in the message board where I run do compile.do in ModelSim. I ran this command in ModelSim in the ModelSim directory and I had no errors but it didn't start a simulation. I'm fairly new to ModelSim so maybe I'm just missing something obvious but has anyone had issues with testing bladerf-hosted.vhd in ModelSim or am I going about this in totally the wrong way?

Thanks

Re: Developing bladerf-hosted.vhd via modelsim

Posted: Sat Jul 16, 2022 6:49 pm
by robert.ghilduta
Some of the bladeRF 2.0 micro specific testbenches are in https://github.com/Nuand/bladeRF/tree/m ... o/modelsim . These testbenches simulate things from the FX3 GPIF to the AD AXI's adc_streams / dac_streams .

The way to run these testbenches is to cd bladeRF/hdl/fpga/platforms/bladerf-micro/modelsim, run modelsim, and run do fx3_gpif_tb.do for example.

Instructions for a more comprehensive testbench including the bladeRF-wiphy modem can be found here: https://www.nuand.com/bladerf-wiphy-simulation/ .